class Verilator < Formula desc "Verilog simulator" homepage "https://www.veripool.org/wiki/verilator" url "https://www.veripool.org/ftp/verilator-3.920.tgz" sha256 "2b5c38aa432d0766a38475219f9548d64d18104ce8bdcb5d29e42f5da06943ff" bottle do sha256 "8e821abcf532b40e9655f0bb9e566fdde06f5959108974b7b9ffc3669877c511" => :high_sierra sha256 "af74a6b0ed76ddf310cbbe879f064cdb97877be31e36ac4afcf0d5f2c73e43cd" => :sierra sha256 "28e2e322cb1c3f3ec9693d711366632c285aeb2c55e6033ed3bf584056cbe2d6" => :el_capitan end head do url "http://git.veripool.org/git/verilator", :using => :git depends_on "automake" => :build depends_on "autoconf" => :build end skip_clean "bin" # Allows perl scripts to keep their executable flag # Needs a newer flex on Lion (and presumably below) # https://www.veripool.org/issues/720-Verilator-verilator-not-building-on-Mac-OS-X-Lion-10-7- depends_on "flex" if MacOS.version <= :lion def install system "autoconf" if build.head? system "./configure", "--prefix=#{prefix}" # `make` and `make install` need to be separate for parallel builds system "make" system "make", "install" end test do (testpath/"test.v").write <<~EOS module test; initial begin $display("Hello World"); $finish; end endmodule EOS (testpath/"test.cpp").write <<~EOS #include "Vtest.h" #include "verilated.h" int main(int argc, char **argv, char **env) { Verilated::commandArgs(argc, argv); Vtest* top = new Vtest; while (!Verilated::gotFinish()) { top->eval(); } delete top; exit(0); } EOS system "/usr/bin/perl", bin/"verilator", "-Wall", "--cc", "test.v", "--exe", "test.cpp" cd "obj_dir" do system "make", "-j", "-f", "Vtest.mk", "Vtest" expected = <<~EOS Hello World - test.v:2: Verilog $finish EOS assert_equal expected, shell_output("./Vtest") end end end